Xilinx公司FPGA设计技术问答
2012-06-02 6
问:我在ISE4.1中,用fpga express verilog编译的某些文件,用modelsimxe只能前仿,不能后仿,不知5.1i是否有改进? 答: 4.1i支持用Modelsim XE实现行为级仿真和时间仿真,5.1I也同样。请用热线(china_support xilinx.com)打开一个例子并在4.1i/Modelsim XE运行时间仿真以解决你的问题。 问:和5.1结合比较好的验证工具 .. [查看全文]
Verilog Pli的基本使用方法(modelsim)
2012-06-02 15
内容未做证实,仅供参考。 1.hello.c文件内容 #include #include "veriuser.h" static PLI_INT32 hello() { printf("Hi there\n"); return 0; } s_tfcell veriusertfs[] = { {usertask, 0, 0, 0, hello, 0, "$hello"}, {0} /* last entry must be 0 */ }; 2.hello.v文件内容 module hello; initial begin $hello .. [查看全文]
基于NIOS II内核的嵌入式SOPC开发板的实现
2012-06-02 11
随着大规模集成电路技术的进步和制造工艺水平的提高,嵌入式系统的开发变得日益复杂。传统的嵌入式系统设计方法已经不能适应当前嵌入式系统设计的需要。单个芯片容量的增大、功能的增加、体积的减小使得设计变得更加便利,同时也为嵌入式系统设计开发开辟了新的天地即片上系统(System on Chip,SoC)技术。 1 嵌入式系统的开 .. [查看全文]
从实例中学习OrCAD-PSpice 10.3-AA(第一章 安装)
2012-06-02 24
第1章 安装 OrCAD 10.3 Release1.1 OrCAD 10.3 Release安装建议的计算机配置1.1.1 OrCAD 10.3 Release 窗口操作系统OrCAD 10.3 Release可以执行在下列2 种Microsoft 窗口操作系统:(1)Windows 2000(SP4)(2)Windows XP Professional, or Windows XP Home Edition注:OrCAD10.3 Release已不再支持Windows NT 窗 .. [查看全文]
高速FPGA系统的信号完整性测试和分析
2012-06-02 张楷 34
1. 引言 随着FPGA的设计速度和容量的明显增长,当前流行的FPGA芯片都提供高速总线,例如DDR内存总线,PCI-X总线、SPI总线;针对超高速的数据传输,FPGA通过集成SerDes提供高速串行IO,支持各种诸如PCI-E、GBE、XAUI等高速串行总线协议,为各种不同标准的高速传输提供极大的灵活性。典型的高速FPGA器件提供的每一条物 .. [查看全文]
提高NC-Verilog仿真效率的技巧
2012-06-02 13
本文回顾了一些NC-Verilog的命令行选项,并说明这些选项如何影响仿真效率。同时,我们也展示了一些技巧,以帮助用户的NC-Verilog在最大效率下仿真一个设计和测试平台。 文中的命令行选项语法采用单步启动的方式(ncverilog +),这些选项也适合多步启动模式(ncvlog, ncelab, 或ncsim)。 安装最新发布的软件 首先确认你是否安 .. [查看全文]
关于NIOS II对FLASH的支持情况
2012-06-02 渐风 14
哥们我也是第一次正儿八经搞NIOS II,很多奇怪的问题都被俺碰上了,从头到尾一共花了将近一个月,终于把NIOS给活生生跑起来了。虽然浪费了很多时间,但经验值了提高了一些。 现在把FLASH的问题写一下给大家分享,希望能对各位有点帮助。 NIOS II的手册说的很明白,只支持具有CFI接口的FLASH器件。用AMD和INTEL的肯定没有 .. [查看全文]
状态机举例
2012-06-02 24
你可以指定状态寄存器和状态机的状态。以下是一个有四种状态的普通状态机。 // These are the symbolic names for states // 定义状态的符号名称 parameter[1:0] S0 = 2'h0, S1 = 2'h1, S2 = 2'h2, S3 = 2'h3; // These are the current state and next state variables // 定义当前状态和下一状态变量 reg [1:0] state; reg [1: .. [查看全文]
从实例中学习OrCAD-PSpice 10.3-AA(第8章 参数测绘仪工具)
2012-06-02 11
第8章 参数测绘仪(Parametric Plotter)工具的使用 参数测绘仪工具不但可以进行多种复杂参数功能的扫描,还可以在Plot/Probe中通过表格与绘图形式更美观和有效的分析扫描结果。用户可以在创建和参数测绘仿真电路时,运用参数测绘仪来进行设计和相关参数模型的分析(任何结合部分)。也可以说参数测绘仪工具是标准PSPICE参 .. [查看全文]
SPI串行总线接口的Verilog实现
2012-06-02 孙丰军 余春暄 12
摘 要:集成电路设计越来越向系统级的方向发展,并且越来越强调模块化的设计。SPI(Serial Peripheral Bus)总线是Motorola公司提出的一个同步串行外设接口,容许CPU 与各种外围接口器件以串行方式进行通信、交换信息。本文简述了SPI总线的特点,介绍了其4条信号线,SPI串行总线接口的典型应用。重点描述了SPI串行总线接口在一款 .. [查看全文]
FPGA与DS18B20型温度传感器通信的实现
2012-06-02 李农 10
摘要:介绍利用ACTEL公司的APA150型现场可编程门阵列(FPGA)实现对DS18B20型温度传感器的通信控制,使CPU可以方便地从FPGA中读取温度测量结果和DS18B20的48位ID值。 1 引言 DS18B20是DALLAS公司生产的一线式数字温度传感器,采用3引脚TO-92型小体积封装;温度测量范围为-55℃~+125℃,可编程为9位~12位A/D转 .. [查看全文]
FPGA直接数字频率合成器的设计
2012-06-02 周俊峰 陈 涛 5
摘要:介绍了利用Altera的FPGA器件(ACEX EP1K50)实现直接数字频率合成器的工作原理、设计思想、电路结构和改进优化方法。 关键词:直接数字频率合成(DDS) 现场可编程门阵列(FPGA) 直接数字频率合成(Direct Digital Fraquency Synthesis,即DDFS,一般简称DDS)是从相位概念出发直接合成所需要波形的一种新的频率 .. [查看全文]

推荐文章
最新文章
热门文章
本站简介 | 意见建议 | 免责声明 | 版权声明 | 联系我们
CopyRight@2024-2039 嵌入式资源网
蜀ICP备2021025729号