首页· 导航
在线工具
嵌入式系统
电子电路
PCB技术
电路
单片机
电源
开关
电子
驱动
linux
PCB
fpga
开关电源
功放
USB
protel
LED
POWERPCB
modelsim
仿真
ROM
2012-06-02
6
一、主要环境参数是 : modelsim SE 6.1b+Quartus II 5.1 SP2 HDL 是verilog。 器件是Stratix II EP2S90F1020 采用两种方法设计ROM,ROM的容量是1000×2×16bit。因为需要COS 和SIN 两种数据。 第一种采用Altera 的LPM 生成ROM,ROM 的内容也是利用Quartus 生成hex 文件,之所以不采用mif 文件的原因是因为在modelsim不 .. [
查看全文
]
提高NC-Verilog
仿真
效率的技巧
2012-06-02
13
本文回顾了一些NC-Verilog的命令行选项,并说明这些选项如何影响
仿真
效率。同时,我们也展示了一些技巧,以帮助用户的NC-Verilog在最大效率下
仿真
一个设计和测试平台。 文中的命令行选项语法采用单步启动的方式(ncverilog +),这些选项也适合多步启动模式(ncvlog, ncelab, 或ncsim)。 安装最新发布的软件 首先确认你是否安 .. [
查看全文
]
可以在Keil下
仿真
的T9拼音输入法
2012-06-02
33
/*特点是直接在Keil下
仿真
,切换到串口窗口就可以直接看到结果。 体验
仿真
步骤如下: 1、把3个帖子的内容分别保存为51t9py.c,51t9py_indexa.h,5py_mb.h,放在同一目录下,将51t9py.c加入工程编译 2、由于Keil的模拟串口是单字节显示,汉字显示为乱码,所以要加挂RICHWIN或RichView这种会重新刷新显示的中文平台,或手工刷 .. [
查看全文
]
使用compxlib命令编译Xilinx的ModelSim
仿真
库
2012-06-02
16
ModelSim是Mentor Graphics出品的一款VHDL、Verilog、SystemC、SystemVerilog以及混合语言设计的
仿真
和验证工具。在ModelSim中,所有的设计(包括用户设计以及EDA工具预先提供的设计)必须编译成一个或多个
仿真
库。ModelSim的
仿真
库实际是一个目录,它可以看作是存储经过编译的设计单元的“仓库”。Mod .. [
查看全文
]
ModelSim SE
仿真
Altera库的建立
2012-06-02
shemily
18
(注:最近自己准备做后
仿真
,到坛子里看看了,发现一开始建库就是一个很大的问题。为了便以自己学习也为了给大家省点事,我花了十来个小时整理了一些资料,全部资料来自edacn.net/bbs的ModelSim的〈库〉,在下面的资料中不能一一列出作者,请各位网友多多包涵。下面的资料是大家的成果。by CHY 07.04.05) 1. modelsim怎 .. [
查看全文
]
Cadence
仿真
流程
2012-06-02
Poqi055
48
第一章 在Allegro 中准备好进行SI
仿真
的PCB 板图 1)在Cadence 中进行SI 分析可以通过几种方式得到结果: Allegro 的PCB 画板界面,通过处理可以直接得到结果,或者直接以*.brd 存盘。 使用SpecctreQuest 打开*.brd,进行必要设置,通过处理直接得到结果。这实际与上述方式类似,只不过是两个独立的模块,真正的
仿真
软件是下面 .. [
查看全文
]
modelsim
仿真
问题
2012-06-02
84
近段时间比较忙,尝试着将工作中碰到的问题记录下来,也许它在某一天它会变成一笔财富了?不管怎么说,尝试记录工作的点滴都是一个不错的点子,呵呵。夸奖一下我自己! 在用modelsim 6.0 se作
仿真
的时候碰到一个错误,在xilinx的数据库里面发现原因如下: Problem Description: Keywords: ModelSim, MXE, MTI, error, VSIM .. [
查看全文
]
debussy和modelsim协同
仿真
(VHDL)
2012-06-02
13
1、 编辑modelsim根目录下的modelsim.ini文件,将; Veriuser = veriuser.sl 更换为Veriuser = novas_fli.dll。 2、 将C:\Novas\Debussy\share\PLI\modelsim_fli54\WINNT下的novas_fli.dll 拷贝至C:\Modeltech_6.1d\win32中 3、 将C:\Novas\Debussy\share\PLI\modelsim_fli54\WINNT下的novas.vhd拷贝至工程所在目录 4、 .. [
查看全文
]
MultiSIM 电子
仿真
软件使用技巧
2012-06-02
朱秋龙
10
众所周知,电子
仿真
软件MultiSIM最初由加拿大的IIT 公司推出,从Multisim2001开始到后来的Multisim7和Multisim8止;Multisim9到目前的Multisim10版本,已改由美国国家仪器公司(NI公司)所推出。Multisim版本每次升级,软件功能都有相应的提高,但它们的操作方法和电子电路虚拟
仿真
这一块内容几乎没有太大的变化。也就是说 .. [
查看全文
]
ISE
仿真
中需要注意的问题
2012-06-02
iamfrankie
11
在我们团队负责的项目光栅传感器系统高频并行解调算法的FPGA实现中,需要直接频率合成模块,因此我们想在FPGA上实现一个DDS芯片的功能,最近在BASYS板上调通了DDS模块,在功能
仿真
过程中我们发现在ISE环境下编写VHDL时对信号赋初值对
仿真
是十分必要的。 由于参数的要求模块中用到了一个三分频电路。程序如下: library .. [
查看全文
]
基于MATLAB和Quartus II的FIR滤波器设计与
仿真
2012-06-02
张园 王辉
25
在现代数字系统中,FPGA(现场可编程门阵列)以计算机为开发平台,经过设计输入、
仿真
、测试和校验,直至达到预期结果。本文使用MathWorks公司的MATLAB软件和Altera公司的FPGA开发软件Quartus II进行FIR滤波器的设计
仿真
,并给出了设计的一般步骤。该方法能够直观地检验滤波器的设计效果,提高设计效率,缩短设计周期。 .. [
查看全文
]
Qtopia 2.2.0主机版的编译与应用程序的
仿真
2012-06-02
黄振华
14
一、编译过程解压qtopia-free-2.2.0.tar.gz,并将解压后的文件夹改名为qtopia-host.编译方法以及参数设置可以查看顶层目录下的configure脚本文件的内容以及帮助信息.#./configure -qte no-keypad -qpe pda#make#make installqtopia2.2.0 版本自带了一些编译的环境变量的配置脚本,可以自动帮我们配置并编译qt-x11-2.x,q .. [
查看全文
]
6/7
首页
上一页
1
2
3
4
5
6
7
下一页
...末页
推荐文章
热敏电阻温度阻值查询程序
一款常用buffer程序
1602液晶显示模块的应用
GNU C 9条扩展语法
C99语法规则
FreeRTOS 动态内存管理
如何实现STM32F407单片机的 ..
STM32使用中断屏蔽寄存器BA ..
ARM汇编伪指令介绍
单片机硬件系统设计原则
最新文章
如何实现STM32F407单片机的 ..
STM32使用中断屏蔽寄存器BA ..
STM32单片机接收不定长度字 ..
FreeRTOS 动态内存管理
一款常用buffer程序
实时操作系统VxWorks的内核 ..
uC/OS-II内核超时等待机制的 ..
Linux网络接口的源码分析
ucos下lwip应用心得
VxWorks下在线升级技术
热门文章
51单片机LED16*16点阵滚动显示
C99语法规则
FreeRTOS 动态内存管理
ARM9远程图像无线监控系统
用单片机模拟2272软件解码
如何实现STM32F407单片机的 ..
新颖的单片机LED钟
AVR单片机SPI实例
24C64 EEPROM读写的C语言程序
1602液晶显示模块的应用
本站简介
|
意见建议
|
免责声明
|
版权声明
|
联系我们
CopyRight@2024-2039 嵌入式资源网
蜀ICP备2021025729号