ncvlog [options] source_file ...
Options:
-DEFINE
-FILE
-MESSAGES-- Specifies printing of informative messages
-NOCOPYRIGHT-- Suppresses printing of copyright banner
-NOSTDOUT-- Turn off output to screen
-NOWARN
Examples:
-- To compile all the modules in source.v
% ncvlog source.v
-- To compile with informative messages
% ncvlog -messages source.v
ncelab [options] [lib.]cell[:view]
Options:
-MESSAGES-- Specifies printing of informative messages
-NOCOPYRIGHT-- Suppresses printing of copyright banner
-NOSTDOUT-- Turn off output to screen
-TIMESCALE
-ACCESS
-FILE
-LOADPLI1
-MAXDELAYS-- Selects maximum delays for simulation
-MINDELAYS-- Selects minimum delays for simulation
-TYPDELAYS-- Selects typical delays for simulation
-NONEG_TCHK-- Disallow negative values in SETUPHOLD & RECREM timing checks
-NONOTIFIER-- Notifiers are ignored in timing checks.
-NOSPECIFY-- Don't execute timing checks, ignore path delays and skip SDF annotations.
-NOTIMINGCHECKS-- Don't execute timing checks
-NOWARN
-NO_TCHK_MSG-- Turn off timing check warnings
-SDF_NO_WARNINGS-- Do not report SDF warnings
Examples:
-- To elaborate my_lib.top:behav
% ncelab my_lib.top:behav
% ncelab my_lib.top
% ncelab top
-- To elaborate with informative messages
% ncelab -messages my_lib.top:behav
ncsim [options] [lib.]cell[:view]
Options:
-FILE
-GUI-- Enter window mode before running simulation
-INPUT
-MESSAGES-- Specifies printing of informative messages
-NOCOPYRIGHT-- Suppresses printing of copyright banner
-NONTCGLITCH-- Suppress delayed net glitch suppression messages
-NOSTDOUT-- Turn off output to screen
-NOWARN
-SDF_NO_WARNINGS-- Do not report SDF warnings
Examples:
-- To simulate the snapshot my_lib.top:snap
% ncsim my_lib.top:snap
% ncsim my_lib.top
% ncsim top
-- To simulate while writing to the log file ./ncsim.log
% ncsim -log ./ncsim.log my_lib.top:snap
-- To update the snapshot my_lib.top:snap and simulate
% ncsim -update my_lib.top:snap
ncverilog [all valid Verilog-XL arguments]
Supported Dash options:
-f
Supported plus options:
+access+
+define+
+loadpli1=
+maxdelaysSelects maximum delays for simulation
+mindelaysSelects minimum delays for simulation
+typdelaysSelects typical delays for simulation
+ncdumpports_format+
+neg_tchkAllow negative values in SETUPHOLD & RECREM timing checks (default)
+noneg_tchkDisallow negative values in SETUPHOLD & RECREM timing checks
+nocopyrightSuppresses printing of copyright banner
+no_notifierNotifiers are ignored in timing checks
+nosdfwarnDo not report SDF warnings
+nospecifySuppresses timing checks and path delays in specify blocks.
Ignore SDF annotations.
+nostdoutTurn off output to screen(terminal)
+notchkmsgTurn off timing check warnings
+notimingcheckDon't execute timing checks
+nowarn+
+sdf_nowarningsDo not report SDF warnings
ncsdfc [options] sdf_file
Options:
-COMPILE-- Compile the specified SDF files (default)
-DECOMPILE-- Decompile the specified SDF files
-LOGFILE
-MESSAGES-- Specifies printing of informative messages
-NOCOPYRIGHT-- Suppresses printing of copyright banner
-OUTPUT
-WORSTCASE_ROUNDING-- Truncate min delays, round max delays up
Examples:
-- To compile an SDF file:
% ncsdfc dcache.sdf
Creates compiled SDF file dcache.sdf.X
-- To specify a name for the compiled SDF file:
% ncsdfc ipipe.sdf -OUTPUT ipipe.compiled
Creates compiled SDF file ipipe.compiled
-- To decompile a compiled SDF file:
% ncsdf -DECOMPILE ebox.sdf.X
Creates decompiled SDF file ebox.sdf.X.sdfd
-- To specify a name for the decompiled SDF file:
% ncsdfc -DECOMPILE ebox.sdf.X -OUTPUT ebox.sdfd
Creates decompiled SDF file ebox.sdfd
nchelp [options] tool error
nchelp [-cdslib | -hdlvar]
Examples:
-- To find help on the error CUVWSP from ncelab
% nchelp ncelab CUVWSP
-- To find help on the error BADCLP from ncvlog
% nchelp ncvlog BADCLP
-- To list the currently defined libraries
% nchelp -cdslib
-- To list the currently supported tools
% nchelp -tools
-- To list the help for all supported tools with the BADCLP mnemonic
% nchelp -all BADCLP