nc commands速查
2013-01-14
标签:

1. ncvlog

ncvlog [options] source_file ...

Options:

-DEFINE -- Defines a macro

-FILE -- Load command line arguments from rmation

-MESSAGES-- Specifies printing of informative messages

-NOCOPYRIGHT-- Suppresses printing of copyright banner

-NOSTDOUT-- Turn off output to screen

-NOWARN -- Disables printing of the specified warning message

Examples:

-- To compile all the modules in source.v

% ncvlog source.v

-- To compile with informative messages

% ncvlog -messages source.v

2. ncelab

ncelab [options] [lib.]cell[:view]

Options:

-MESSAGES-- Specifies printing of informative messages

-NOCOPYRIGHT-- Suppresses printing of copyright banner

-NOSTDOUT-- Turn off output to screen

-TIMESCALE -- Set default timescale on Verilog modules.

-ACCESS -- Set default access visibility. {+rwc} turn on read/write/connectivity.

-FILE -- Load command line arguments from

-LOADPLI1 -- Specify the library_name:boot_routine(s) to dynamically load a PLI1.0 application

-MAXDELAYS-- Selects maximum delays for simulation

-MINDELAYS-- Selects minimum delays for simulation

-TYPDELAYS-- Selects typical delays for simulation

-NONEG_TCHK-- Disallow negative values in SETUPHOLD & RECREM timing checks

-NONOTIFIER-- Notifiers are ignored in timing checks.

-NOSPECIFY-- Don't execute timing checks, ignore path delays and skip SDF annotations.

-NOTIMINGCHECKS-- Don't execute timing checks

-NOWARN -- Disables printing of the specified warning message

-NO_TCHK_MSG-- Turn off timing check warnings

-SDF_NO_WARNINGS-- Do not report SDF warnings

Examples:

-- To elaborate my_lib.top:behav

% ncelab my_lib.top:behav

% ncelab my_lib.top

% ncelab top

-- To elaborate with informative messages

% ncelab -messages my_lib.top:behav

3. ncsim

ncsim [options] [lib.]cell[:view]

Options:

-FILE -- Load command line arguments from

-GUI-- Enter window mode before running simulation

-INPUT -- Script to be executed during initialization

-MESSAGES-- Specifies printing of informative messages

-NOCOPYRIGHT-- Suppresses printing of copyright banner

-NONTCGLITCH-- Suppress delayed net glitch suppression messages

-NOSTDOUT-- Turn off output to screen

-NOWARN -- Disables printing of the specified warning message

-SDF_NO_WARNINGS-- Do not report SDF warnings

Examples:

-- To simulate the snapshot my_lib.top:snap

% ncsim my_lib.top:snap

% ncsim my_lib.top

% ncsim top

-- To simulate while writing to the log file ./ncsim.log

% ncsim -log ./ncsim.log my_lib.top:snap

-- To update the snapshot my_lib.top:snap and simulate

% ncsim -update my_lib.top:snap

4. ncverilog

ncverilog [all valid Verilog-XL arguments]

Supported Dash options:

-f Read host command arguments from file

Supported plus options:

+access+Turn on Read, Write and/or Connectivity Access

+define+Define a macro from commandline

+loadpli1=Specify the library_name:boot_routine(s) to dynamically load a PLI1.0 application from commandline

+maxdelaysSelects maximum delays for simulation

+mindelaysSelects minimum delays for simulation

+typdelaysSelects typical delays for simulation

+ncdumpports_format+Specify EVCD format flag for $dumpports

+neg_tchkAllow negative values in SETUPHOLD & RECREM timing checks (default)

+noneg_tchkDisallow negative values in SETUPHOLD & RECREM timing checks

+nocopyrightSuppresses printing of copyright banner

+no_notifierNotifiers are ignored in timing checks

+nosdfwarnDo not report SDF warnings

+nospecifySuppresses timing checks and path delays in specify blocks.

Ignore SDF annotations.

+nostdoutTurn off output to screen(terminal)

+notchkmsgTurn off timing check warnings

+notimingcheckDon't execute timing checks

+nowarn+Disables printing of the specified warning message

+sdf_nowarningsDo not report SDF warnings

5. ncsdfc

ncsdfc [options] sdf_file

Options:

-COMPILE-- Compile the specified SDF files (default)

-DECOMPILE-- Decompile the specified SDF files

-LOGFILE -- Specifies the file to contain log information

-MESSAGES-- Specifies printing of informative messages

-NOCOPYRIGHT-- Suppresses printing of copyright banner

-OUTPUT -- Redirects compiled SDF output to the specified file

-WORSTCASE_ROUNDING-- Truncate min delays, round max delays up

Examples:

-- To compile an SDF file:

% ncsdfc dcache.sdf

Creates compiled SDF file dcache.sdf.X

-- To specify a name for the compiled SDF file:

% ncsdfc ipipe.sdf -OUTPUT ipipe.compiled

Creates compiled SDF file ipipe.compiled

-- To decompile a compiled SDF file:

% ncsdf -DECOMPILE ebox.sdf.X

Creates decompiled SDF file ebox.sdf.X.sdfd

-- To specify a name for the decompiled SDF file:

% ncsdfc -DECOMPILE ebox.sdf.X -OUTPUT ebox.sdfd

Creates decompiled SDF file ebox.sdfd

6. nchelp

nchelp [options] tool error

nchelp [-cdslib | -hdlvar]

Examples:

-- To find help on the error CUVWSP from ncelab

% nchelp ncelab CUVWSP

-- To find help on the error BADCLP from ncvlog

% nchelp ncvlog BADCLP

-- To list the currently defined libraries

% nchelp -cdslib

-- To list the currently supported tools

% nchelp -tools

-- To list the help for all supported tools with the BADCLP mnemonic

% nchelp -all BADCLP

可能会用到的工具/仪表
相关文章
推荐文章
热门文章
章节目录
本站简介 | 意见建议 | 免责声明 | 版权声明 | 联系我们
CopyRight@2024-2039 嵌入式资源网
蜀ICP备2021025729号