首页· 导航
在线工具
嵌入式系统
电子电路
硬件设计
C语言
单片机
ARM
RTOS
树莓派
Linux
DSP
FPGA & CPLD
接口 & 协议
以太网
USB
文件系统
工具 & 软件
其它
PIC单片机双机异步通信程序
2012-06-02
13
pic单片机双机异步通信(dhd0)1 单片机PIC1编程(发送部分)/*该程序实现单片机双机异步通信功能,该程序是发送部分*/ unsigned char tran[8]; /*定义一个数组存储发送数据*/ unsigned char k, data; /*定义通用寄存器*/ const char table[20] = {0xc0, 0xf9, 0xa4, 0xb0, 0x99, 0x92, 0x82, 0XD8, 0x80, 0x .. [
查看全文
]
ModelSim se完全编译Xilinx库文件
2012-06-02
14
什么是之前的老办法呢? Modlesim 仿真库的建立: 将Modelsim根目录下的modelsim.ini的属性由只读改为可写。 新建一个文件夹,比如library(为叙述方便,把它放在modelsim的根目录下)。D:/modelsim/library. 启动Modelsim,选择[File]/[chang Directory],选择D:/modelsim/library. 选择[File]/[New]/[library]命令,弹出[C .. [
查看全文
]
利用有限状态机控制A/D采样
2012-06-02
10
1 状态机的基本结构和功能 状态机是一类很重要的时序电路,是许多数字电路的核心部件。状态机的一般形式如图1所示。除了输入信号、输出信号外,状态机还包括一组寄存器,它用于记忆状态机的内部状态。状态机寄存器的下一个状态及输出,不仅同输入信号有关,而且还于寄存器当前状态有关。寄存器可以认为是组合逻辑和寄存器逻辑 .. [
查看全文
]
基于VHDL语言的 IP 核验证
2012-06-02
12
摘要:探讨了IP核的验证与测试的方法及其和VHDL语言在IC设计中的应用.并给出了其在RISC8框架CPU核中的下载实例 引言 在IC(integrated circuit.集成电路)发展到超大规模阶段的今天,基于IP(Intellectual Property,知识产权)核的IC设计及其再利用是保证SoC(system onchip,片上系统)开发效率和质量的重要手段。如果能对IP .. [
查看全文
]
可以在Keil下仿真的T9拼音输入法
2012-06-02
33
/*特点是直接在Keil下仿真,切换到串口窗口就可以直接看到结果。 体验仿真步骤如下: 1、把3个帖子的内容分别保存为51t9py.c,51t9py_indexa.h,5py_mb.h,放在同一目录下,将51t9py.c加入工程编译 2、由于Keil的模拟串口是单字节显示,汉字显示为乱码,所以要加挂RICHWIN或RichView这种会重新刷新显示的中文平台,或手工刷 .. [
查看全文
]
怎样写testbench
2012-06-02
7
本文的实际编程环境:ISE 6.2i.03 ModelSim 5.8 SE Synplify Pro 7.6 编程语言 VHDL 在ISE 中调用ModelSim 进行仿真 一、 基本概念和基础知识 Testbench 不仅要产生激励也就是输入,还要验证响应也就是输出。当然也可以只产生激励,然后通过波形窗口通过人工的方法去验证波形,这种方法只能适用于小规模的设计。 在ISE 环境中, .. [
查看全文
]
uClinux的图形界面显示系统的设计(framebuffer)
2012-06-02
10
摘要:本文详细描述了基于uClinux嵌入式操作系统的图形界面显示系统的实现方法,并对uClinux下Framebuffer驱动程序编写进行了专门探讨。 1前言 在嵌入式系统这个IT产业的新领域,Linux 以其所具备的稳定、高效、易定制、易裁减、硬件支持广泛的特点,结合其免费、源码开放的特征。使得Linux在嵌入式操作系统中的地位越来越重 .. [
查看全文
]
提高NC-Verilog仿真效率的技巧
2012-06-02
13
本文回顾了一些NC-Verilog的命令行选项,并说明这些选项如何影响仿真效率。同时,我们也展示了一些技巧,以帮助用户的NC-Verilog在最大效率下仿真一个设计和测试平台。 文中的命令行选项语法采用单步启动的方式(ncverilog +),这些选项也适合多步启动模式(ncvlog, ncelab, 或ncsim)。 安装最新发布的软件 首先确认你是否安 .. [
查看全文
]
PIC单片机红外遥控编码与解码的问题
2012-06-02
9
一般常用的红外遥控器编码规则都差不多,基本上都同6221原理一样(可在网上找到) PIC单片机接收时: 如果用54,57这类片子做的话有一定的难度(假如要做成实时控制的;比如说你还要驱动显示,驱动步进电机,在加上几个按键)原因就是这类片子没有中断 例程如下(用来解6221;分频比为256) RF: BTFSC PORTB,2;;B2口用做 .. [
查看全文
]
基于IEEE802.11b的EPA温度变送器设计
2012-06-02
8
摘要:介绍一种基于IEEE802.11b的EPA温度变送器的设计方案,阐述系统硬件和软件结构,说明嵌入式Linux系统中驱动程序的开发过程。系统以S3C2410为核心器件,可以很好地完成温度数据的采集处理,并可以通过IEEE802.11b接入点与相关设备进行通信,且在基于EPA标准的无线局域网系统中进行了测试。 引言 IEEE802.11是IEE .. [
查看全文
]
51单片机汇编程序集(二)
2012-06-02
27
辛普生积分程序 内部RAM数据排序程序(升序) 外部RAM数据排序程序(升序) 外部RAM浮点数排序程序(升序) BCD小数转换为二进制小数(2位) BCD小数转换为二进制小数(N位) BCD整数转换为二进制整数(1位) BCD整数转换为二进制整数(2位) BCD整数转换为二进制整数(3位) BCD整数转换为二进制整数(N位) 二进制小数(2位)转换为十进制小 .. [
查看全文
]
51单片机访问大容量存储器的实现
2012-06-02
10
1 引言 MCS-51系列单片机有着优越的性价比,因此应用面宽,使用量也非常大;然而它只有16位地址线,最大能访问的存储空间为64K,且扩展接口与存储器统一编址,扩展接口会占用大量的地址空间,致使该系列单片机在数据量大的数据采集系统中,存储空间明显不足。 笔者最近在开发一数据采集系统时,经分析、探索,找到了解决 .. [
查看全文
]
125/131
首页
上一页
122
123
124
125
126
127
128
下一页
...末页
推荐文章
热敏电阻温度阻值查询程序
2024年11月13日
57
C99语法规则
2024年11月16日
559
FreeRTOS 动态内存管理
2024年11月12日
436
一款常用buffer程序
2024年11月06日
64
1602液晶显示模块的应用
2012年08月03日
181
GNU C 9条扩展语法
2024年11月18日
204
最新文章
优秀的字符串哈希算法-ELFhash
2024年11月26日
33
SD卡TF卡引脚定义
2024年11月26日
35
C语言string.h常用函数重点说明
2024年11月22日
33
C语言下划线命名法
2024年11月22日
40
C数组 结构体 联合体快速初始化
2024年11月22日
24
GNU C 9条扩展语法
2024年11月18日
204
热门文章
51单片机LED16*16点阵滚动显示
2012年09月05日
659
C99语法规则
2024年11月16日
559
FreeRTOS 动态内存管理
2024年11月12日
436
ARM9远程图像无线监控系统
2012年07月03日
420
用单片机模拟2272软件解码
2012年09月06日
292
如何实现STM32F407单片机的ADC转换
2024年11月15日
291
本站简介
|
意见建议
|
免责声明
|
版权声明
|
联系我们
CopyRight@2024-2039 嵌入式资源网
蜀ICP备2021025729号